艱難過後 2020年半導體產業期待復甦

2020-01-03
作者 Luffy Liu

從2018年的中興事件,到2019年的華為事件,中美之間的科技戰「迫使」更多中國半導體企業加速成長,從IC設計到晶圓代工,從處理器到記憶體……越來越強烈的自主可控需求驅動下,未來幾年將是中國廠商崛起的關鍵時期……

2019年,動盪的全球貿易局勢為半導體市場蒙上了一層陰影。雖然在經歷過2017和2018年的大漲之後,2019年被市場視為是週期性調整的一年,但根據多家市調機構資料統計,2019年全球半導體產業產值將下滑10~13%不等,創下近10年以來最嚴重的產業衰退。Gartner資深首席研究分析師Ben Lee表示:「記憶體與其他類型晶片定價疲軟,加上中美貿易爭端,以及智慧型手機、伺服器和個人電腦(PC)等主要應用需求放緩,令全球半導體市場跌至2009年以來的最低水準。」半導體設計、製造、封裝三大產業環節均受到波及。

對許多半導體製造商來說,其業務收入下降10%是一個很嚴重的打擊。不僅是記憶體晶片,根據IHS Markit 2019年上半年的資料,邏輯IC下降了4.8%、微組件下降了4.2%、類比IC下降了6.1%、離散元件收入下降了1.9%,感測器和驅動器也下降了2%。

不過值得慶幸的是5G、人工智慧(AI)、雲端運算、巨量資料和物聯網(IoT)等新興技術持續保持高速發展,讓半導體產業已進入繼個人電腦和智慧型手機後的下一個發展週期。據IBS報告,這些應用驅動著半導體市場將在2027年達到7,989億美元,而2018年為4,713億美元,年複合成長率為6.04%。

邊緣運算(Edge Computing)和碎片化應用,為定制化晶片帶來了更多機會,RISC-V等開放原始碼平台的興起,為晶片IP注入了新的活力。同時智慧型手機市場逐漸冷卻,也讓廠商們開始尋找下一個成長點,比如汽車電子、智慧城市或者一切與5G相關的應用。

從2018年的中興事件,到2019年的華為事件,中美之間的科技戰「迫使」更多中國本土半導體企業加速成長,從IC設計到晶圓代工,從處理器到記憶體……越來越強烈的自主可控需求,要求中國晶片設計業不斷在高階晶片領域實現突破,對創新的、有穩定來源的、有明確演進路線圖的和可提供定制化服務的半導體IP的需求將變得越來越強烈。

未來幾年將是中國廠商崛起的關鍵時期,強勁的市場需求促使全球產能中心逐漸轉移到中國,進而擴大了中國IC整體產業規模。目前中國在全球半導體市場規模中佔比已超過50%,並呈持續擴大趨勢。對於老牌歐美日韓半導體廠商來說,一方面需要保持旺盛的創新力,另一方面也需要思考針對中國市場的新策略。

中國廠商過去這一年

根據中國半導體產業協會IC設計分會理事長、清華大學微納電子系主任魏少軍的調查資料,中國半導體全產業2019年的營業收入將首次突破3,000億元大關,同時設計企業的數量將接近1,800家。但是中國晶片設計業尚不能滿足市場的需求,「需求旺盛,供給不足」依然是產業當前面臨的根本矛盾。

晶圓代工廠受惠於7奈米(nm)製程技術發展和相關產品加速導入市場,較能抵抗產業逆風帶來的負面衝擊。

20190103NT61P1

過去兩年中國的晶圓廠建廠潮,為中國IC設計產業在降低成本、擴大產能、地域便利性等方面提供了新的支持,對於整個IC產業的發展起了拉動作用。芯原(VeriSilicon)董事長兼總裁戴偉民表示:「中國市場的旺盛需求和投資熱潮,也促進了中國IC設計產業專業人才的培養及配套產業的發展,IC產業環境的良性發展,為中國IC設計產業的擴張和升級提供了機遇。」

作為中國晶片製造產業的代表之一,華虹集團旗下上海華虹巨集力半導體製造(華虹巨集力或HHGrace)技術研發與設計服務執行副總裁孔蔚然表示,過去一年晶片製造業仍然遵循著兩條發展路徑向前演進:一條是推進微影節點,追求更小線寬的摩爾定律道路;另一條是基於成熟製程設備,不斷研發探索技術邊界,透過技術創新以提高晶片性能和可靠性,同時降低生產成本。而華虹宏力主要側重於後者,即創新研發特色製程技術。

世界上最先進的IDM和代工廠始終追求極致線寬,伴隨著微影技術的進步,向著7奈米、5奈米甚至是3奈米、2奈米線寬演進,這是市場的需求,也是其科技發展實力的體現。「然而這種發展路徑依賴先進設備,必須持續大規模資本投入,而且昂貴的製程技術只能滿足晶片市場的一部分應用需求,如CPU、DRAM等。」孔蔚然說到。

20190103NT61P2

2019年雖然是整個半導體市場動盪的一年,但是對於中國晶片市場來說,由於國際環境的影響,反而促進了中國國產晶片的成長。

兆易創新(GigaDevice)在過去一年以儲存為核心,佈局控制與感測。雖然儲存產品受半導體週期性影響最大,但隨著物聯網、汽車電子、邊緣運算、OLED顯示技術的興起,對於儲存晶片的需求會逐步擴大,NOR Flash作為目前程式碼儲存的唯一選擇也迎來了新機遇。與此同時,市場對於Flash元件也提出更多樣化的要求,即使是同一個NOR Flash,針對不同的應用,也會有比如設計、功耗、封裝等不同的需求。所以面對市場變化,北京兆易創新科技代理總經理何衛表示:「作為支柱型產品線,我們持續在Flash上做研發投入,提供多樣化的產品來滿足市場所需。」

在2017年被中資背景的基金收購後,Imagination如今將重要市場放在了中國。即便手機市場已經不如當年,但產業的發展重心偏移卻是向著他們期待的方面走,針對更多應用領域的GPU、AI產品充滿機遇。Imagination副總裁、中國區總經理劉國軍表示:「去年12月Imagination發佈了全新的IMG A系列GPU,可應用於各種場景和多種垂直應用領域,新的GPU技術正在推動多個領域內的應用創新。」

除了GPU,Imagination在2019年還專注於神經網路加速器,以及物聯網無線連接IP。劉國軍認為,神經網路加速器正在成為AI泛在應用的推手,而其最新Wi-Fi IP解決方案,可滿足物聯網和可穿戴設備等低功耗市場的通訊需求,支持複雜的低功耗SoC實現所有的通訊需求,並獲得最佳的電池續航時間。

依舊屹立的國外廠商

不過對於中國以外的半導體廠商來說,由於中美貿易摩擦,以及供應鏈調整等的影響,整個產業都不太樂觀。微芯科技(Microchip)總裁兼營運長Ganesh Moorthy認為,2019年對整個產業而言都是艱難的一年,持續的貿易戰和關稅造成的業務不確定性減少了大多數終端市場的需求。

「工程師仍然面臨著嚴峻的挑戰,他們需要提供能夠在性能和功耗之間取得適當平衡的創新解決方案,並開發縮短上市時間所需的各種軟體和工具,同時為目標應用帶來較大的總成本競爭優勢。」Moorthy說到。

雖然一些新興技術的發展可能會使半導體市場再次回春,但也對晶片供應商提出了更高的要求。

20190103NT61P3

這也是瑞薩電子(Renesas)中國區董事長真岡朋光(Tomomitsu Maoka)的看法,他認為首先是多樣性的要求。半導體應用領域及其豐富,針對這種變化,要用豐富的產品線和生態系統來應對。其次,對於產品的性能要求更高了,在萬物互連的時代,最受關注的就是低功耗和資料安全。針對物聯網的低功耗需求,瑞薩在過去一年開發了一種獨特製程薄氧化埋層上覆矽(SOTB),透過引入全新的電路技術來降低IC產品的功耗。這種製程技術可以在工作及待機兩種模式下同時顯著降低產品功耗,並且採用這種技術的晶片有能源採集功能,可以從所處外部環境中採集所需能源,保證系統的運作。「針對聯網的資料安全需求,我們也會將具備高安全性能的IP導入到物聯網設備當中,保證產品的安全性能。」真岡朋光說到。

也有的公司在2019年的大環境下依舊屹立不搖。

「2019 年是賽靈思業務表現強勁的一年,公司年收入首次邁入30億美元大關,從元件向平台公司轉型戰略也取得重大進展。」賽靈思(Xilinx)執行長Victor Peng說到,「2019年也是賽靈思正式執行資料中心優先、加速核心市場發展及驅動自我調整運算三大新戰略的第一年。」他們的目標也很明確——與眾多自動駕駛系統一級供應商,以及5G供應商合作,並積極推動自我調整運算的發展。

特勵達易圖威(Teledyne e2v)專注於航空航太和工業領域,該公司亞太區副總裁Anthony Fernandez表示,過去一年接到的航太專案與日俱增,特別是新興的通訊衛星組網,正改變著航太市場的面貌。這些新的衛星計畫對簡化可靠性,小成本解決方案提出了新的需求。與此同時「工業客戶正在迅速提升系統能力,例如支援工業4.0應用的先進工業測試系統,以及用於環境監測和城市規劃的緊湊型合成孔徑雷達(SAR)平台等,為高性能產品帶來機遇。」

20190103NT61P4

基於多項開展中的新的衛星發射計畫、衛星基建和太空探索計畫,Teledyne e2v預計航太市場將持續增長。而市場將更多關注於如何通過成本節省和加快上市時間,使得航太成為經濟適用型技術。「這意味著有能力支撐高市場需求的技術廠商將可透過推出新產品、簡化封裝技術,以及最佳化認證流程和輻射測試等方式取得明顯成長機會。」Fernandez說。

5G拯救2019

中國半導體市場的活躍,也讓持續投資中國市場的Teradyne在2019年獲得了成長。在過去的幾年中,隨著中國本土晶片製造商建立並且擴大其設計和生產能力,系統級晶片(SoC)和記憶體測試系統的市場也不斷的增長。Teradyne半導體測試部門總裁Gregory Smith表示:「2019年,5G SoC需求的上升不但是市場增長點,也是泰瑞達業務成長最快的部分。NAND快閃記憶體測試需求則是另一個推動2019年中國測試市場增長的重要力量。儘管我們預計測試設備市場將持續出現短期的需求波動,但是5G和記憶體將推動中國市場的長期成長。」

5G的部署確實是2019年全球市場最大的熱點。5G的發展對所有手機、基地台中的各種各樣的晶片,包括處理器、記憶體、感測器都帶來極大的提升,這些提升為整個儀器儀錶、生產測試產業帶來非常大的拉抬作用。

「這些儀器儀錶從設計研發需要的示波器、訊號源,到認證與驗收需要的一致性測試儀,以及量產需要的各種分析儀和測試設備,包括網路最佳化都有很全面的性能和需求的提升,這對傳統的儀器儀錶設計帶來挑戰和機會。」ADI系統解決方案事業部總經理趙軼苗表示,「在這波發展機遇中,ADI與全球測試測量產業企業開展合作,支持他們實現了5G測試解決方案。整個訊號鏈從RF產品到ADC到高精準度、高速產品,也和中國的儀器儀錶廠商深入合作,把一些關鍵的測試訊號鏈技術整合在模組或者參考設計中,直接提供給中國的客戶,讓他們快速突破相應的難點技術,儘快實現他們產品的升級反覆運算。」

20190103NT61P5

5G、物聯網、AI和超高畫質技術的發展,5G設備、智慧型手機在2019年末的發佈,也讓資料量來到了爆炸性增長的節點。Western Digital(WD)資深副總裁兼中國區總經理Steven Craig表示,從資料特性來分析,現在還有很多資料是由人為產生的,比如文字、圖片,以及視訊。而5G和物聯網的興起正在徹底改變各種形式和規模的企業及產業——包括從石油天然氣、農業、製造業到自動駕駛車和智慧城市領域。隨之而來的是數十億個互連的設備、攝影機和感測器,覆蓋終端、邊緣端和雲端,機器將代替人為成為產生資料的主要來源。

2020增長點之工業4.0

華虹巨集力從晶片製造的角度來看,特色製程平台可以提升供應鏈穩定性、產品可靠性及成本優勢,而中美貿易局勢帶來的中國國產替代潮,也將是中國國產半導體的成長催化劑之一。以客戶黏度很高、目前中國國產化率低且替代速度很慢的MCU為例,孔蔚然表示:「中國國產MCU最初主要瞄準消費類產品,比如電子秤、電鍋等。隨著其技術性能的逐步提升,漸漸被用於冰箱、洗衣機、空調等年銷量達10億台的白色家電中,更有部分高階MCU產品瞄準車載應用。龐大的中高階市場替代空間,正等待著中國國產MCU去填充。」

20190103NT61P6
中國晶圓製造產能缺口(產能合併12英吋計算)。(資料來源:TrendForce)

智慧化趨勢從行動設備向汽車、工業的延伸,未來將繼續推動半導體晶片需求增長。電子產品升級換代催化存量更新,將惠及晶片製造業。「2020年,我們認為除了5G商用、物聯網落地及中國國產替代帶來的需求增長外,一些大型跨國企業有可能會加大委外代工(OSAT)比例,將是特色製程需求增長的重要推力。」孔蔚然說。

ADI同樣看好工業4.0帶來的這波浪潮。借助邊緣到雲端運算、AI、軟體可配置系統等方面的重大技術進步,工業4.0將極大地提高工業生產的生產力、靈活性和安全性。趙軼苗以工業中獲得廣泛應用的工業狀態監控為例,「我們在過去20年裡一直致力於理解人類是如何解讀聲音和振動,從而建立一個系統來學習、解譯設備的聲音和振動的含義,以檢測異常行為並進行診斷。隨著AI技術的導入,這種願望已經變成現實。」

持相同觀點的還有Teledyne e2v,他們認為,在工業感知和監控,以及測試測量領域,日益成長的以更高速度採集更多資料的需求,正在推動新性能特點的產品出現。特別是5G時代的到來,以及工業4.0的進一步發展,將需要特定目標的測量、感測和監控儀器的設備來保證品質與性能。「Teledyne e2v利用諸如多通道和多元件同步化支援等新性能和新功能,專注於開發有助於實現高性能的系統。」Fernandez說。

此外,工業4.0的大潮將帶動工業設備的連網趨勢,只有連網的設備才有可能實現智慧分析與控制。但工業網路標準眾多、新舊設備或不同連接標準的設備之間實現連網經常遇到困難,工業系統之間的相容性差是實現工業4.0的一大障礙,同時工業4.0要求高度的協同能力對網路傳輸延時帶來苛刻的要求。「我們針對工業互聯的解決方案是Fido系列,透過一顆晶片可以支援不同的工業網路標準,實現不同架構之間設備的連接,包括時間敏感型網路(TSN)。」趙軼苗說到。

當前全球正在大力發展新能源與潔淨能源,它將帶動新型智慧化輸配電,以及儲能技術的發展,包括新能源的產生、儲存和有效地傳輸三個方面都將帶來大量的機會。此外趙軼苗認為,在電力物聯網作為新興的電網自動化系統,正逐步助力實現傳統電網向能源網際網路的升級。在此過程中,業界需要不斷地尋找並應用新方法、新技術來支撐泛在電力物聯網配電系統的安全、可靠、優質、高效運作,如何將物聯網技術透過晶片與軟體系統實現整個配電系統的連網整合,是未來產業面臨的契機與挑戰。

20190103NT61P7

人們對效率的追求是永無止境的。隨著工業4.0的發展,從資料庫的任務到製造、汽車,再到用戶體驗和自助業務功能,幾乎所有任務都可以透過自動化實現。Craig認為,自動化是成功的關鍵。融合了各種資料類型的新型AI/機器學習(ML)版本及其洞察能力,將成為自動化的關鍵推動力。「我們相信在2020年,企業將繼續實施AI/機器學習,以自動化提高效率和生產力,進而降低整個價值鏈的成本。」他說。

而目前,在全球範圍內,資料分析進程的發展還遠遠不足以支援機器學習工作負載的增長。如今,企業更多的是將機器學習的功能交給軟體工程師或業務專家。Craig表示:「我們相信隨著新的工具的推出和發展,機器學習將能夠履行目前資料科學家的基本職責,而真正的資料科學家的角色,將轉為更高的附加值的體現——例如針對特殊用例工作對機器學習行為進行微調。」在未來3~5年內,機器學習自動化將成為常態,而企業將擁有更多可用的工具,從而解放資料科學家人員,使其得以利用更加高效且靈活的方式開展工作。

「基於這一發展趨勢,智慧工廠、企業資料中心等各個領域都越來越需要有針對性的資料儲存解決方案。」Craig補充。

2020年增長點之AI

說到機器學習,就不得不說AI。隨著我們面臨的資料量級和複雜度急劇增長,AI晶片產業的發展不容忽視。各大手機晶片供應商皆聚焦AI運算力表現,因此2020年的決勝關鍵,取決於各大晶片廠的AI加速器表現,以及執行效率高低等。據IDC白皮書《資料時代2025》預測,2025年,超過25%的資料將成為即時資料,其中95%生產自物聯網終端,並且絕大部分不能直接創造價值,需要經過運算來提煉;而2025年全球資料總量的20%將直接關乎人們的日常生活乃至生存安危。

「地平線持續看好邊緣運算。」地平線創始人暨執行長余凱表示,「未來邊緣的AI晶片實際上是未來智慧城市的底層的核心技術,只有這個技術不斷的往前推進,AI未來的發展必然會促進智慧駕駛、AIoT的建設。城市的安全、效率才會越來越高,大家的生活才會越來越美好。」

20190103NT61P8

今後的運算,在通用性高的領域交付於雲端完成,與生命安全、隱私保護密切相關的領域則由端進行處理。而邊緣運算將成為端上指數級爆炸資料的篩檢程式與控制閥,經過有效處理之後,只需提取少量有效數據傳回雲端即可。「普惠AI時代,邊緣運算將成為破解AI物聯網核心難題的關鍵。」余凱補充。

關注AI的不止是獨角獸,瑞薩這樣的老牌廠商也不例外。對於AI技術而言,「運算力」將是未來的一個核心發展點,也是AI領域眾多參與者的決勝關鍵。完整的AI產業鏈,應該由基礎支撐層、核心技術層和產品應用層組成,包括及基礎晶片在內的眾多技術是AI技術向前不斷發展的最強推動力。「而這正是瑞薩一直在努力的方向。」真岡朋光說。

2019年瑞薩致力於發展嵌入式AI(e-AI)及超低功耗技術,讓設備與機械能夠在脫離網路連接、脫離雲端的狀態下,同樣可以實現AI。2019年10月,瑞薩又透過擴大自身IP授權範圍推動e-AI技術發展,以授權的方式,把自家的AI技術播種到更多的晶片上。

劉國軍認為,在即將到來的這一輪依靠AI的資訊技術發展浪潮之中,許多領域都需要先進的圖形處理、神經網路加速,以及短距離無線連接,因而這些技術正在成為推動未來創新關鍵。例如,5G技術要在新一代行動終端、智慧車輛和智慧城市等領域內的應用中落地,就需要先進的GPU來提供支撐,以便為消費者提供令人滿意的應用體驗和更長的電池續航時間,同時也需要神經網路加速器(NNA)和GPU等技術來加速辨識和實現服務。

Achronix產品行銷總監Bob Siller也表示,他們已經看到,用於AI、網路和儲存應用的資料加速已成為推動2020年增長的主要因素。在過去幾年裡,數十家新的硬體新創公司獲得了資金的支援,這與2010年代初半導體產業不再被視為一個具有吸引力的增長市場相比,是一個巨大的改變。

20190103NT61P9

「雖然在2010年代初期到中期,大部分注意力和資金都投向了軟體公司,但現在開始看到投資者已經意識到,在提供高性能的AI、網路和儲存解決方案這些方向上,硬體可能是比軟體本身更為重要的因素。在AI硬體新創公司上的投資已經超過10億美元,而我們還沒有看到投資步伐有任何放慢。」Siller說。

2020年增長點之自動駕駛

真岡朋光表示,不只是AI領域,在2020年,物聯網,以及自動駕駛領域都將成為半導體市場重要的增長點。TrendForce旗下拓墣產業研究院認為,由於2019年未有車用晶片大廠發佈新一代產品線,加上7奈米的良率逐漸提升,2020年7奈米是否有機會進入車用晶片市場,將是極為重要的觀察指標。

同時隨著自動駕駛技術的不斷發展,相信在這兩年內會成為熱點,自動駕駛技術將迎來一次比較大面積的普及。真岡朋光表示,當前所有汽車相關產業都在積極探討並開發的自動駕駛技術,屆時包括ADAS系統、新能源技術等方面的全新產品需求也會帶動半導體元件需求的水漲船高。自動駕駛的主要瓶頸包括監管接受度、用戶接受度或偏好,以及技術本身的可行性。

趙軼苗表示:「ADI認為自動駕駛將來是非常重要的趨勢。可以預見的未來若干年內將持續成為創新技術應用的熱門領域,L3、L4甚至L5級自動駕駛汽車已經進入一些汽車廠商的路線圖。我們有Drive360技術體系去支撐自動駕駛,關注在三個點:一個點是雷達,77G/79G的雷達影像技術;還有Lidar AFE;第三個是IMU。這三個是無人駕駛裡非常核心的感測類技術。」

自動駕駛、車聯網和新能源車發展帶來的還有汽車產業對記憶體需求的與日俱增,這也是儲存晶片中重要的新興成長點。何衛認為,從硬體上來說,實現汽車的智慧化需要更多的環境感知,隨著感測器和更多MCU整合到系統中,汽車電子各功能單元的資料都需要更高性能的快閃記憶體;從軟體上來說,隨著更多軟體的整合,程式碼的複雜性不斷增加,更需要儲存大量的程式碼和程式,從而對非揮發性記憶體件的需求形成海量增長。

「高速NOR Flash產品可顯著提高資料吞吐率,非常適用於汽車、AI和物聯網等需要將大容量程式碼快速讀取的應用,可以保證系統上電後的及時回應。」何衛補充道。

2020年增長點之5G

Moorthy認為,由於業務不確定性,Microchip對2020年的增長前景保持著謹慎樂觀的態度。「我們看到6個大趨勢,它們將在2020年,以及接下來的幾年裡創造增長機遇。」這些大趨勢包括:5G、物聯網、資料中心、電動車、ADAS/自動駕駛車,以及AI/機器學習。

20190103NT61P10

5G在2020年即將迎來大規模的商用,作為行動通訊技術的重大變革,5G技術將帶來全新的網路傳輸體驗,而這也將為半導體產業注入全新的活力,這也是本次展望中多家企業都看好的領域。資策會產業情報研究所(MIC)指出,今年全球已有32個國家約56家電信商宣佈部署5G網路,其中39家電信商已正式開通5G服務,預估到2020年,全球將有170家電信商提供5G商用服務。

5G應用發酵下,製造、醫療、能源等三大應用商機可期,預估2026年全球5G製造市場規模可成長到420億美元。5G醫療預估從2020年4億美元成長到2026年218.8億美元,應用市場為遠距會診、遠距生理監控與醫院專網。預估全球5G能源應用將從2020年48.4億美元成長至2026年216.5億美元,主要應用包括無人機巡檢、能源專網與電動車充電站。

真岡朋光認為,為了滿足5G時代三大場景的業務需求,5G對系統及元件提出了高速、寬頻、低功耗、高頻及低等多項技術要求。比如說如今大熱的Sub-6GHz和毫米波5G技術,半導體製程技術創新與變革是首當其衝。

「預估中國SoC測試市場在2019年增長約3~7億美元,而全球市場則增長31億。我們認為,增長的最大部分來自5G相關的半導體測試支出,主要是使用於基地台的晶片。展望2020,預計隨著5G手機的量產部署,與其相關的支出也將增加。」站在測試測量廠商的角度,Smith分析。

「從2019年的趨勢中,我們可以看到未來的增長點將來自於5G技術及新一代的5G終端,基於AI技術的多樣化智慧應用,汽車智慧化功能和新興資訊娛樂系統、資料中心的各種加速技術、物聯網和邊緣運算等等。」劉國軍表示,「同時,這些技術相互滲透和支撐,正在加速新一輪電子資訊技術革命及相關新興應用的出現,如5G技術與AI技術、物聯網和汽車電子技術的交叉促進,將為中國IC和軟體產業,以及各個應用領域帶來巨大機會。」

20190103NT61P11

2020年增長點之物聯網

何衛也認為,2020年的市場增長點還是在於圍繞著以5G、物聯網為核心的應用技術的發展,「因此兆易創新不僅在儲存產品、MCU控制器上最佳化自己的結構,也在感測器產品上佈局,力求打造”聲、光、電”全方位感測。」就目前市場而言,應用最廣的指紋辨識光學感測是主流技術,被市面上大多數已銷售的智慧型手機採用。除此之外,聲學感測器的應用也具有很大潛力,一方面聲學感測穿透深度更大,另一方面其對於生物辨識會更準確。

鑒於越來越多的企業都開始關注環境資訊數位化,物聯網相關產品能夠支援這些需求,並向雲端提供資訊。「SOTB技術能夠讓系統無需電池,只需透過能量採集就能做到幾乎半永久性的工作,無需人工作業就能從環境中採集資料,非常適合物聯網的創新發展。」真岡朋光說。

5G與物聯網發展帶來的一個剛需是資料儲存量的增加。如今我們的生活已經被資料包圍,而在未來更有90%的資料將由機器產生,「這些機器產生的資料都將是企業用於分析洞察的寶貴財富。」Craig表示,「分析能力就是企業競爭優勢——如果企業在2020年還沒有投資分析技術,那麼到了2021年這些企業可能將無法開展業務。」

基於這個大環境,新的互連世界將有越來越多的工作負載轉移到邊緣端,對於記憶體不足的邊緣端設備而言,無疑是對運作和分析大量資料的能力提出了更高的負載需求。同時,由於這些邊緣端設備通常佔用空間小且需要快速部署,需要實現更多的設備標準化和操作互通性,因此,未來將出現更多開放的架構、統一的標準和開放的資訊傳遞等。

Craig認為,在ZB級時代,資料更加需要被儲存和分析,企業也需要更大的資料中心來承載。因此企業需要更經濟和高效的儲存方案解決資料在雲端的儲存,需要各個領域專用的儲存架構應對不同的儲存需求,以此適應新型的運算技術,能夠滿足虛擬機器、多租戶、順序化資料等。

20190103NT61P12

在整個物聯網產業中,大量新興技術的出現,一方面提高了半導體本身的性能,另一方面帶來新的應用,也為客戶和社會提供更大的價值。Smith說:「例如,半導體技術的進步已使醫學影像技術得到了顯著提升,從而改善了人類的健康狀況;應用於電動車、公共汽車和火車上之更好的大功率應用元件減少了污染和溫室氣體的排放;當然還有智慧型手機通訊、商務和娛樂等更好的設備。」這些設備的性能和複雜性不斷提高,意味著在每個設備上都有更多的功能需要驗證,因此測試變得更加嚴苛,對測試設備的提出了更多的要求。

2020技術趨勢之資料爆炸

Peng認為,目前資訊技術產業中呈現三大技術趨勢:資料呈指數級增長、AI迅速普及,以及自我調整運算興起。這三種趨勢早在2019年之前就已經顯露,但在2019年呈加速發展態勢,並將在可預見的未來繼續推動產業變革。

首先,資料數量發生爆炸性增長。互連的智慧世界意味著每天要處理和儲存數十億設備產生的海量新資料。這些新資料中大多數是非結構化資料,處理起來需要更加複雜的運算,這一發展趨勢對傳統半導體架構的極限提出了更大的挑戰。

第二大趨勢是AI。自2012年AlexNet誕生以來,深度學習類AI已取得長足發展並持續快速演進。但從AI推斷的部署的角度來看,產業仍處於起步階段。賽靈思認為,用於AI推斷應用的半導體數量預計將以驚人的速度增長。

20190103NT61P13

「我們觀察到的第三大趨勢是自我調整運算。」Peng說到,「Dennard縮放定律與摩爾定律的失效,加上阿姆達爾定律設定的種種限制,嚴重阻礙了處理能力的進一步提升。我們亟需新的特定領域架構(DSA)來化解這些不利因素的影響,保持運算功能的發展勢頭。」然而,隨著製程精準度的提升,晶片設計成本提高,製造時限嚴重拖長。出於成本和時間原因,每次開發新的晶片元件都使用新的DSA的做法已不再可行。自我調整運算是一種解決方案,因為它不必使用新的晶片就能構建DSA,支援以最低一次性工程費用(Non-recurring engineering;NRE)實現快速開發與部署。

同樣提到資料爆炸的還有WD,他們認為全球各產業在數位化轉型中,不論是4K攝影機的普及、網路覆蓋的比例還是5G頻寬的增加等技術發展,不可避免帶來的就是資料的爆炸性的增長。根據IDC預測,2023年將產生超過100ZB的資料量,與人為產生的資料特性不同,這些機器產生的資料的特性具有很強的順序性串流媒體資料特性。

為了利用新興資料的特性,應對即將到來的ZB級數據時代,WD在2019年也推出了利用ZNS和SMR標準化的分區儲存技術,並提出ZB規模架構的開放原始碼計畫。Craig認為:「在2020年及可預見的未來,大容量企業級HDD是無可替代的,因為這些產品可以滿足不斷成長的資料的需求,並為超大規模資料中心提供更好的總體擁有成本(Total Cost of Ownership;TCO)。而分區儲存技術能夠實現更好的區域塊管理,利用專用存放裝置構建未來的雲端資料中心,為現在和未來帶來更好的擁有權總成本和更高的容量擴展。」

Siller從FPGA和CPU對比的角度來看資料爆炸。他認為,在過去的十年中,業界見證了資料的爆炸式增長,預計到2025年資料量將達到175ZB,現在面臨的挑戰是如何從海量的非結構化和結構化資料中獲取有意義的見解。這就迫使業界去開發許多新型的基於硬體和軟體的解決方案,以最高的性價比、最低的功耗和最好的時效性的方式來處理資料。

Siller表示,與過去靠更強大的CPU來支援的模式相比,今天應對這一挑戰的手段有很大不同。現在,看到針對特定工作負載加速而定制的異質運算系統的興起。然而,擁有多個不同的硬體平台是一種有諸多制約因素的模式,而且我們也看到了新的趨勢,即為需要加速的特定工作負載創建各種專為其進行最佳化的可重新程式設計架構。

2020技術趨勢之數位孿生

什麼是數位孿生(digital-twin)?數位孿生是對一個產品或製造工廠的物理實體進行精確模擬的虛擬數位模型,會隨著產品或工廠生命週期的改變而不斷進化,可以用來預測產品使用或工廠營運過程中的行為,最佳化其性能,並從以往的設計和生產經驗中吸取教訓。

西門子數位工業軟體公司總裁兼首席執行長Tony Hemmelgarn表示:「對於現今日益複雜的產品設計和製造,數位孿生是一種必不可缺的驗證/確認工具,但它已經不是新潮的技術概念,而是可以真正解決和預測現實世界中產品或製造工廠可能出現的問題。其價值大小就在於虛擬模型對物理實體的模擬精確度和完整性程度。」

20190103NT61P14

數位孿生不僅適用於產品,對於更為複雜的生產工廠和製造流程也同樣適用。對於工廠的機器設備,也許已經利用工業物聯網系統採集到設備的運作狀態參數,也進行正常的預防性維護。但設備仍然有可能出現意想不到的問題,難道採集足夠多的資料,再透過AI/機器學習演算法就能夠解決這個問題嗎?目前來看這樣想法還是不現實的。

資料不在於量有多大,而在於相關性。我們不但需要採集資料來告訴設備出現了問題,而且需要相關的資料來辨識出問題的原因所在。這就涉及閉環的數位孿生,使用這樣的模型來放大製造流程的環節以找出真正的原因所在。

一個產品設計和製造企業要想讓收集的海量資料體現出價值,轉化為競爭優勢,除了建立完整的閉環數位孿生體系外,還需要有個性化的設計及靈活的適應性。Hemmelgarn表示:「西門子用了11年時間,投資110億美元,用於研發、收購和整合從設計到製造整個產品生命週期的管理軟體系統,包括收購EDA公司Mentor和低程式碼(low-code)軟體工具開發商Mendix。」

製造工廠的特定專業技能一般儲存在有經驗的技術人員頭腦中,公司的IT人員沒有時間也沒有足夠的知識來開發適合工廠現場工作人員的應用軟體工具,因此工廠的軟體很難做到個性化和靈活性。利用Mendix的低程式碼軟體發展工具,經驗豐富的技師不需要學習專門的電腦語言來編寫應用程式,就可以將其頭腦中的知識利用簡單的軟體搭建工具呈現出來,並將其連接到數位孿生系統中。

2020技術趨勢之量子運算

監控和隱私、資料及其傳輸管道已成為前所未有的政治鬥爭舞台。同時,大資料將需要新的搜索和處理方式,當今世界也面臨著巨大的環境、安全和醫療保健挑戰……不斷增加的資料量和要考慮的參數數量提醒我們,需要一種新的運算、感測和超安全傳輸方式,這也將對傳統的半導體產業形成挑戰。

Yole Développement資深分析師Eric Mounier認為,儘管摩爾定律已統治微處理器產業50多年之久,可能達到極限(2021年將達到3奈米),但量子電腦(基於量子力學原理)卻是一項真正的顛覆性科技,可望解決當今運算之物理邊界的難題。它將開闢新的機會,特別是在於密集運算方面。但是,量子運算不是摩爾定律或AI的延續,它是一種基於不同硬體和軟體的全新的顛覆性方法。

20190103NT61P15

量子電腦的基石——量子位元——屬於這個宇宙。量子位在多維宇宙中運作,其本徵態對應於所謂的布洛赫球體(Bloch ball)的表面,而其邏輯態則對應於該球體的極點。簡而言之,量子位元不是二進位的。它不像比特那樣只是對1或0進行編碼,而是1和0的疊加。因此,一組n個量子位可以對2n個可能的量子態的疊加進行編碼。通常,具有n個量子位元的量子電腦可以處於多達2n個不同狀態的任何疊加。與此相比,一台普通電腦一次只能處於這2n種狀態之一。我們應該還記得諾貝爾獎物理學家Bill Philips所說的話:「量子電腦與經典電腦的區別與經典電腦與算盤的區別一樣。」

過去,我們已經看到了第一次量子革命,當時的優先事項是理解和預測。今天,由於需要透過工程技術來開發基於光子、電子、原子或分子的未來量子系統,我們正在進入第二次量子革命。

量子技術是一項重要的挑戰,它可以解決與國家安全相關的安全通訊和資料庫管理。它還能解決化學/材料研究、物流、金融服務、醫療保健和生命科學、製造、藥物發現、蛋白質結構預測、投資風險分析、原料管理、車輛路線、網路最佳化等方面的關鍵工業模擬和最佳化挑戰。

量子技術將成為各國的優先發展目標,世界頂級大國已經對量子項目進行投資:中國宣佈進行100億美元投資;美國宣佈在5年內投資12億美元;歐洲宣佈在10年內投資12億歐元。

量子技術不僅可以實現運算,還可以實現密碼系統、感測和軟體。如今,量子運算吸引了研發人員的巨大興趣,投資者社區和其他量子領域也從中受益。量子通訊技術更為成熟(至少對於今天的短距離而言),而量子感測器和時脈仍然是小市場。儘管如此,密碼系統和感測器都在從目前圍繞量子電腦的興奮中受益。

量子電腦可以區分為量子退火機(例如,D-Wave將量子電腦商業化以解決最佳化問題已有數年)和「通用」量子電腦(可以解決任何類型的問題)。目前這還是一個很小的市場,因為很少有公司能負擔得起與量子電腦的開發相關的巨大開發成本。最重要的發展如下:

·D-Wave已經出貨量子退火機。它在7年內出貨了4代系統。最新一代可以處理2,000個量子位(但不是「通用」量子電腦);

·其他開發通用量子電腦的公司包括:Google(以53個量子位展示了量子霸權)、IBM、Rigetti、IonQ、英特爾、ATOS。

量子技術正處在眾多應用和領域的十字路口:研究、材料科學、光子學、半導體、工程、軟體、教育……但它仍然是項早期技術(潛力巨大)。然而,今天它提出了許多問題:哪種量子位元技術將受到青睞?必須定義哪種業務模型——例如,開發量子電腦的Gafam們(編者注:即Google、Apple、Facebook、Amazon、Microsoft五大巨頭)是否會提供量子即服務(QaaS),或者只是將其保留用於內部開發?是否能夠利用半導體製程來製造量子晶片?是否能夠降低成本(今天的量子電腦價格估計超過2,500萬美元)?……

實現台式量子電腦的路還很長,硬體等級的主要挑戰是量子位的隔離和控制。但是對於當前開發的所有不同的量子電腦來說,仍然缺乏通用的量子語言。但Mounier相信,量子運算的真正價值在於其能夠實現更好的藥物、更靈活的材料或更高效的服務,而不是實現台式量子電腦!

2020技術趨勢之特色製程

台積電在2019年末頻頻曝出7奈米產能滿載、16奈米交貨延遲等消息,英特爾也因為產能原因導致供應短缺,不得不對外道歉。可以看出,無論嵌入式儲存、功率半導體還是射頻CMOS元件,先進製程都扮演決定性推動作用的角色。

華虹宏力介紹了其特色製程平台上的製造新技術。以嵌入式儲存為例,其專利技術NORD FLASH可透過創新結構,在55奈米節點實現快閃記憶體單元面積僅是市面其他方案的一半。在功率半導體方面,華虹宏力則是「業內首個擁有深溝槽超級結(DT-SJ)MOSFET的8英吋代工廠,第三代深溝槽超級結製程流程緊湊,且成功開發出溝槽閘的新型結構,有效地降低了結電阻,進一步縮小了元胞面積(cell pitch)。」孔蔚然表示。

在記憶體製造製程上,何衛也認為邏輯電路製程和記憶體製程不能完全相容。他表示,如今的邏輯電路採用的FinFET製程已經在10奈米以下節點穩定量產,而NOR Flash所採用的floating gate製程還在40奈米以上節點,所以NOR Flash難以完全整合到邏輯電路裡面。因此隨著市場需求的提高,以及製程的不斷演進,以MRAM為代表的新型記憶體也逐漸浮現。

20190103NT61P16

何衛介紹,MRAM是一種非揮發性記憶體技術,具有在電源出現掉電的情況時,記憶體中的資料也並不會消失的特點。MRAM擁有SRAM的高速讀取寫入能力,以及DRAM的高整合度,基本上可以無限次地重複寫入。RRAM與MRAM一樣是非揮發性記憶體,與NAND產品相比,它的功耗更低,而且讀寫速度相比於NAND更有優勢。這兩項技術都具有NAND的非揮發性,處理器運算完成的資料可以直接儲存,無需再透過CPU將資料存放到NAND記憶體中,這樣可以加快運算速度,減少能耗,這也是一個未來記憶體發展的方向。

在5G全面商用的浪潮下,核心5G射頻製程需要精準的PSP-SOI模型,便於最佳化射頻前端模組及天線開關的設計。孔蔚然表示:「華虹宏力已為迎接全球5G到來做好準備。0.2微米RF-SOI製程已批量生產,0.13微米RF-SOI 1.2V/2.5V製程平台將更好地支持Switch+LNA的整合,並基於此繼續佈局55奈米節點。創新的鍺矽HBT結構可協助客戶在降低晶片成本的同時最佳化射頻性能。」

應用領域與製程結合創新的還有瑞薩,他們的SOTB製程基於SOI晶圓,針對物聯網設備本身對於低功耗、可擴展性與高可靠性的需求,SOTB可以極大地降低工作和待機電流。「在較大尺寸半導體製程節點下,SOTB具有低漏電流的優勢,在較小尺寸半導體製程節點下具有高性能和低工作電流等優勢。」真岡朋光說到,「SOTB實現了約1/10的傳統低功耗MCU元件的功率損耗,並且不需要以犧牲性能為代價。與不使用SOTB的MCU相比,SOTB使低、中和高階MCU元件的性能得以擴展,同時保持低功耗特性。」

服務和模式上的創新

在IC產業的快速發展期,智慧物聯網、AI、5G等新興產業的湧現推動著先進製程節點如FD-SOI、FinFET的快速發展,同時也驅使著晶片設計產業的快速升級。產業升級所帶來成本、風險和設計難度等的提升,促使產業鏈依專業來分工細化,推動了輕設計(Design-Lite)產業模式的發展。

戴偉民認為,先進製程節點在提高晶片單位面積性能、降低單位成本的同時,也提升了晶片的設計成本和設計風險。高成本、高風險的設計投入使晶片設計公司在研發先進製程節點的晶片產品時,需要有大規模的產銷量支撐來攤平生產成本。

「晶片設計公司面臨生產製造協同能力,以及營運和市場管理能力的更高挑戰,其設計工程師也將需要具備更多更廣的專業技能、先進且紮實的設計實施能力。由於具備上述完備能力的企業較少,為降低設計風險和成本,晶片設計公司越來越多地尋求專業化的一站式晶片定制服務和使用經過驗證的半導體IP。」他說,「芯原的主要經營模式為晶片設計平台即服務(Silicon Platform as a Service;SiPaaS)模式。SiPaaS模式是指基於公司自主半導體IP搭建的技術平台,為客戶提供一站式晶片定制服務和半導體IP授權的一種商業模式。芯原採用SiPaaS模式符合IC產業輕設計模式的發展趨勢。」

近幾年,全球排名前十的晶片設計公司的研發費用佔營業收入比例大多維持在20~30%。隨著IC設計成本和難度不斷加大,要求企業具有更高的利潤以支撐研發。戴偉民認為,輕設計的模式可大幅降低晶片設計公司的營運成本,使其得以專注於自身核心競爭力的發展,如市場需求挖掘、產品定義、差異化實現、精準行銷等。

Achronix同樣談到了避免與巨頭們直接「尬」研發支出的做法。Siller表示:「Achronix、英特爾和賽靈思是僅有的三家採用最新一代半導體製程技術的高階FPGA元件公司。因此,儘管我們無法與他們的規模和支出相匹配,但我們利用靈活性、專注度和產品差異化等手段彌補了這一點。」

余凱表示,軟硬結合是技術發展趨勢的必然。在摩爾定律已經失效的當下,新摩爾定律的大門打開,軟體與硬體疊加才能為客戶提供高性價比和易被繼承的產品。劉國軍則認為,隨著諸如IMG A系列GPU的推出,業界曾經流行過一時的CPU+GPU綁定銷售模式將被進一步打破,中國的SoC設計企業可望以領先的性能和創新的技術引領同儕。

做好自己,期待2020年復甦

據IHS Markit資料顯示, 半導體市場從2020年開始將再次大幅攀升至4,480億美元,這主要得益於5G的發展。IHS Markit半導體製造資深總監Len Jalinek表示:「在半導體產業的歷史上,每一次重大的技術創新都引發了對IC的新需求,並在低迷階段之後觸發了新的增長。」這些創新包括之前的網際網路和iPhone,現在這個角色變成了5G。5G將打破高科技產業的界限,新的通訊標準將影響社會的方方面面,並刺激整體經濟中新活動的產生,這反過來也將推動半導體需求的提升。

20190103NT61P17
全球半導體市場營收預測。(資料來源:IHS Markit)

另外,運算一定是發生在資料爆發的場景裡,而現在資料大量產生和爆發的地方就是在城市,由此,更多的運算正從中央往邊緣遷移。邊緣運算會覆蓋生活的每一天,從早上由家裡出發、在路上、在工作等多個時間段裡,在這個時間段中有交通、有駕駛,形成由語言、手勢、表情、人臉、目光、唇語等多層次的人機互動。這些都屬於自動駕駛、AIoT的應用場景,需要用到邊緣AI處理器。

根據IHS Markit的預測,與2019年相比,2020年半導體總體銷售額將增長近6%。雖然與2018年的銷售記錄相比仍有明顯的差距,但對於經歷了艱難一年的半導體廠商來說,這個時候最重要的就是做好自己,等待在以5G為代表的新技術驅動下整個產業的復甦。

本文為EE Times China 2020年1號雜誌文章

活動簡介

人工智慧(AI)無所不在。這一波AI浪潮正重塑並徹底改變科技產業甚至整個世界的未來。如何有效利用AI協助設計與開發?如何透過AI從設計、製造到生產創造增強的體驗?如何以AI作為轉型與變革的力量?打造綠色永續未來?AI面對的風險和影響又是什麼?

AI⁺ 技術論壇聚焦人工智慧/機器學習(AI/ML)技術,涵蓋從雲端到邊緣、從硬體到軟體、從演算法到架構的AI/ML技術相關基礎設施之設計、應用與部署,協助您全面掌握AI最新技術趨勢與創新,接軌AI生態系佈局,讓機器學習更快速、更經濟、更聰明也更有效率。

贊助廠商

Luffy Liu

暫無

發表評論

訂閱EETT電子報